Intel to host webinar to discuss long-term vision for foundries, discrete fabs and design reports

As Intel spins off its fabs into its foundry business, it will change how it reports results in the coming months. To discuss the company’s long-term vision and give investors a better understanding of how Intel’s business will move forward with Intel Foundries and other segments, Intel plans to host a webcast on April 2, 2024 regarding segment reporting seminar.

This webinar will discuss the long-term vision for the foundry business and the importance of establishing a foundry-like relationship between Intel Foundry (Intel’s manufacturing organization) and Intel Products (its product business unit) to increase transparency and questions accountability,” A description of the incident follows.

The company plans to file a Form 8-K ahead of an upcoming investor webinar revising its past financial reports to comply with the new reporting framework. Starting in the first quarter of fiscal 2024, Intel will disclose its financial results using this new reporting structure.

One of the things Intel will discuss during the webinar is the financial and market performance of Intel’s foundry unit. These things may not make a lasting impression. Initially, the costs of Intel’s foundry business are likely to be high, and most orders will come from Intel itself (i.e. market share is large but still relatively low). At the same time, Intel foundries must invest in advanced fab tools to prepare for 20A and 18A fabs, which will drive up their costs, which may mean losses.

However, it will be some time before Intel foundries can generate revenue streams from major customers such as Microsoft or the U.S. military. IF’s financial numbers and market share may not be immediately impressive, but that’s normal at this stage. This may be something Intel will communicate and discuss in an upcoming webinar.

In fact, while Intel fully expects its 18A (1.8nm-class) manufacturing process to lead competitors in power, performance, and area (PPA), the company’s chief financial officer said at a conference this week that it does It is not expected to leverage this technology to secure large volumes of high-priority orders from third parties.

We probably won’t win anyone’s major work [with] 18A“, Intel CFO David Zisner said at the Morgan Stanley Technology, Media and Telecommunications Conference (via SeekingAlpha).”Honestly, we’re going to win some of the smaller SKUs, and that’s all we need.This is very important to us, even though it may seem marginal in the market, especially if we can gather enough of these customers [developing high-performance compute chips].

Intel’s 18A manufacturing process is built on the company’s 20A manufacturing technology (2nm class node), which introduced RibbonFET gate-all-around transistors and PowerVia backside power supply network. In a GAA transistor, the horizontal channel is completely surrounded by the gate. The channels are built using epitaxial growth and selective removal techniques and can be adjusted in width to improve performance or reduce power consumption. As for the Backside Power Delivery Network (BS PDN), this technology moves the power lines to the backside of the wafer, separating them from the I/O routing. This allows the power vias to be thicker and reduce their resistance, which helps improve transistor performance and Lower power consumption.

Both GAA transistors and BS PDN are expected to provide significant performance and energy efficiency enhancements, which will benefit AI, HPC and smartphone SoCs. At the same time, 18A promises a 10% improvement in performance per watt over 20A and GAA innovations. Therefore, it is expected to be quite competitive compared to TSMC’s N3B and N3P.

When it comes to the high-performance computing part of the market, that’s really where we’re starting to see a lot of adoption,Zisner said.I think the special aspects of 18A with PowerVia and RibbonFET, combined with our extensive experience in high-performance computing, make us a really compelling partner for customers in this space who want to develop products.

Although Intel’s 18A is designed as a foundry node, its process design kit (PDK) is available and the production technology is compatible with third-party electronic design automation (EDA) and simulation tools. However, Intel itself does not expect the process to be used in high-volume products from third parties. Even Microsoft will only produce one chip based on Intel’s 18A.

Intel Foundry is a newcomer to the contract chip manufacturing market. IF’s third-party customers need to be confident in its process technology, performance, yield and volume production capabilities. Once that happens, Intel’s foundries will gain market share and become profitable.

Source: Intel, SeekingAlpha

Source link

Leave a Reply

Your email address will not be published. Required fields are marked *