ASML will launch multiple high numerical aperture tools in 2025 to expand production capabilities

ASML began shipping its first high numerical aperture lithography tool to Intel late last year, and the machine will be assembled in Oregon in the coming months. Shipping just one extreme ultraviolet (EUV) system with a 0.55 numerical aperture lens may not seem impressive, but the company aims to ship higher numbers of such devices this year and further in the coming years. Yield.

ASML did not disclose how many High-NA EUV lithography machines it plans to ship this year, but the company has announced that it has secured orders for these machines from all leading logic chip manufacturers (Intel, Samsung Foundry, TSMC) and memory manufacturers (Micron, Samsung, SK Hynix), the current total is between 10 and 20 systems. Essentially, this means that high numerical aperture EUV will be widely used. But the question is when.

ASML’s high numerical aperture EUV Twinscan EXE lithography system is the company’s next-generation flagship production tool, enabling chipmakers to reduce the critical dimensions of chips down to 8 nanometers in a single exposure, an improvement over today’s low numerical aperture EUV Twinscan The 13nm offered by NXE is a significant improvement. But this improvement comes at a cost. Each Twinscan EXE costs €350 million ($380 million), more than double the price of Twinscan NXE (€170 million, $183 million).

The high price of the new tool has sparked debate about its immediate economic viability, as 8nm features can still be printed using low numerical aperture tools, albeit using dual patterning, a more expensive and yield-impacting technology. For example, Intel expects to incorporate High-NA EUV lithography into its production flow for its post-18A manufacturing process (1.8 nm class) in 2026-2027, while analysts at China Renaissance believe that TSMC only intends to start using these technologies . Its tools for the 1-nanometer production node will be available in 2030. Other industry analysts, such as Semianalysis’ Jeff Koch, also believe that these high-cost machines may not see wider adoption until they become economically justified (expected to be around 2030-2031).

Still, ASML executives, including CEO Peter Wennink, believe the elimination of double patterning via high numerical aperture EUV machines will provide enough advantages (such as process simplification and potentially shorter production cycles) to achieve better performance than analysts predict. Deploy them earlier, around 2026-2027.

After securing orders for 10 to 20 high-NA EUV machines, ASML is preparing to increase production capacity to meet demand for 20 units per year by 2028. Meanwhile, uncertainty over chipmakers’ plans to use high-NA tools over the next two, three, or three years as ASML ramps up production has raised concerns about potential overcapacity in the short term.

Source: Bloomberg, Reuters

Source link

Leave a Reply

Your email address will not be published. Required fields are marked *